测试积点老人 发表于 2019-7-22 09:29:04

uvm_hdl_force如何使用?

我尝试用uvm_hdl_force来force DUT中的信号。但是,编译报错 UVM_ERROR: set: unable to write to hdl path (harness.xxxx.xxxx)
You may not have sufficient PLI/ACC capabilities enabled for that path.我使用的是VCS编译的。请问,这个错误是什么原因导致的?

qqq911 发表于 2019-7-22 10:23:44

检查设置

jingzizx 发表于 2019-7-22 12:53:57

权限和配置

海海豚 发表于 2019-7-22 13:40:07

路径配置错了吧

abcsell 发表于 2019-7-22 14:31:34

学习
页: [1]
查看完整版本: uvm_hdl_force如何使用?